@incollection{, D3ABA2661FBC9CC0F4C6E835B020E3D3 , author={{Dr. NaveenChoudhary} and {Maharana Pratap University of Agriculture and Technology}}, journal={{Global Journal of Computer Science and Technology}}, journal={{GJCST}}0975-41720975-435010.34257/gjcst, address={Cambridge, United States}, publisher={Global Journals Organisation}11164756 } @incollection{b0, , title={{Topology optimization for application specific networks on chip}} , author={{ TAhonen }} , booktitle={{Proceedings SLIP}} SLIP , year={2004} } @incollection{b1, , title={{Networks on Chips: a new SoC paradigm}} , author={{ LBenini } and { GDemicheli }} , booktitle={{IEEE Comput}} , year={2002} 35 } @incollection{b2, , title={{Networks on Chips: a new SoC paradigm}} , author={{ LBenini } and { GDemicheli }} , booktitle={{IEEE Comput}} , year={2002} 35 } @incollection{b3, , title={{B*-Trees : a new representation for nonslicing floorplans}} , author={{ YCChang } and { YWChang } and { GMWu } and { SWWu }} , booktitle={{Proceeding of 37th Design Automation Conference}} eeding of 37th Design Automation Conference , year={2000} } @book{b4, , title={{Introduction to algorithms}} , author={{ TCormen } and { CLeiserson } and { RRivest }} , year={1990} , publisher={Prentice Hall International} } @incollection{b5, , title={{Route packets, not wires: on-chip interconnection networks}} , author={{ WJDally } and { BTowles }} , booktitle={{IEEE Proceedings of the 38th Design Automation Conference (DAC)}} , year={2001} } @incollection{b6, , title={{Deadlock-free message routing in multiprocessor interconnection networks}} , author={{ WDally } and { CSeitz }} , booktitle={{IEEE Transactions on Computers}} , year={1987} } @incollection{b7, , title={{TGFF: task graphs for free}} , author={{ RPDick } and { DLRhodes } and { WWolf }} , booktitle={{Proceeding of the International Workshop on Hardware/Software Codesign}} eeding of the International Workshop on Hardware/Software Codesign , year={1998} } @book{b8, , title={{Interconnection networks: an engineering approach}} , author={{ JDuato } and { SYalamanchili } and { LNi }} , year={2003} , publisher={Elsevier} } @book{b9, , title={{Introduction to evolutionary computing}} , author={{ AEEiben } and { JESmith }} , year={2003} , publisher={Springer-Verlag} , address={Berlin, Heidelberg} } @incollection{b10, , title={{The turn model for adaptive routing}} , author={{ CGlass } and { LNi }} , booktitle={{Proceeding of 19¬th International Symposium on Computer Architecture}} eeding of 19¬th International Symposium on Computer Architecture , year={1992} } @incollection{b11, , title={{A unified approach to constrained mapping and routing on network-onchip architectures}} , author={{ AHansson }} , booktitle={{Proceeding of ISSS}} eeding of ISSS , year={2005} } @incollection{b12, , title={{A methodology for designing efficient on-chip interconnects on wellbehaved communication patterns}} , author={{ WHHo } and { TMPinkston }} , booktitle={{HPCA}} , publisher={US} , year={2003. 2011} } @book{b13, , title={{Global Journal of Computer Science and Technology Volume XI Issue XVI Version I}} 55 2011 } @incollection{b14, , title={{energy-aware mapping for tile-based NoC architectures under performance constraints}} , author={{ JHu } and { RMarculescu }} , booktitle={{ASP-DAC}} , year={2003} } @incollection{b15, , title={{Energy-and performance-aware mapping for regular NoC architectures}} , author={{ JHu } and { RMarculescu }} , journal={{In IEEE Trans. on CAD of Integrated Circuits and Systems}} 24 4 , year={2005} } @book{b16, , title={{Network on Chip simulator: NIRGAM}} , author={{ LJain }} , year={2007. October 17. 2010} } @book{b17, , title={{NIRGAM: a simulator for NoC interconnect routing and application modelling}} , author={{ LJain } and { BMAl-Hashimi } and { MSGaur } and { VLaxmi } and { ANarayanan }} , year={2007} , note={In proceedings of DATE} } @book{b18, , author={{ AJouraku } and { AFunahashi } and { HAmano } and { MKoibuchi }} , title={{L-turn routing: an adaptive routing in}} , year={2001} } @incollection{b19, , title={{Energy Efficient Network Generation for Application Specific Noc irregular networks}} , booktitle={{Proceeding of the International Conference on Parallel Processing}} eeding of the International Conference on Parallel essing } @book{b20, , title={{}} , author={{ BKahng } and { BLLi } and { SPeh } and { KSamadi }} , year={2009} } @incollection{b21, , title={{Orion 2.0: a fast and accurate NoC power and area model for early-stage design space exploration}} , booktitle={{Proceedings DATE}} DATE } @incollection{b22, , title={{A network on chip architecture and design methodology}} , author={{ SKumar } and { AJantsch } and { JPSoininen } and { MForsell } and { MMillberg } and { JOberg } and { KTiensyrja } and { AHemani }} , booktitle={{Proceedings of VLSI Annual Symposium}} VLSI Annual Symposium , year={2002} , note={ISVLSI 2002} } @incollection{b23, , title={{Design space exploration for optimizing on-chip communication architectures}} , author={{ KLahiri }} , booktitle={{IEEE TCAD}} , year={2004} 23 } @incollection{b24, , title={{TCG : A transitive closure graph-based representation of general floorplans}} , author={{ JMLin } and { YWChang }} , booktitle={{IEEE Transactions on VLSI Systems}} , year={2005} } @incollection{b25, , title={{An applicationspecific design methodology for STbus crossbar generation}} , author={{ SMurali } and { GDe Micheli }} , booktitle={{Proceedings DATE}} DATE , year={2005} } @incollection{b26, , title={{Mapping and physical planning of networks on chip architectures with quality-of-service guarantees}} , author={{ SMurali }} , booktitle={{Proceedings ASPDAC}} ASPDAC , year={2005} } @incollection{b27, , title={{SUNMAP: a tool for automatic topology selection and generation for NoCs}} , author={{ SMurali } and { GDemicheli }} , booktitle={{Proceeding of DAC}} eeding of DAC , year={2004} } @incollection{b28, , title={{Synthesis of networks on chips for 3d systems on chips}} , author={{ SMurali } and { CSeiculescu } and { LBenini } and { GDe Micheli }} , booktitle={{Asian and South Pacific Design Automation Conference (ASPDAC)}} , year={2009} } @incollection{b29, , title={{High-level architectural simulation of the torus routing chip}} , author={{ LNatvig }} , booktitle={{Proceedings of the International Verilog HDL Conference}} the International Verilog HDL ConferenceCalifornia , year={1997} } @incollection{b30, , title={{Fast Energy Aware Application Specific Network-on-Chip Topology Generator}} , author={{ NChoudhary } and { MSGaur } and { VLaxmi } and { VSingh }} , booktitle={{Proceeding of the IEEE International Conference IACC}} eeding of the IEEE International Conference IACCPatiala, India , year={2010} } @incollection{b31, , title={{Genetic Algorithm Based Topology Generation for Application Specific Network-on-Chip}} , author={{ NChoudhary }} , booktitle={{Proceeding of the IEEE International Conference ISCAS}} eeding of the IEEE International Conference ISCASParis, France , year={2010} } @incollection{b32, , title={{Key research problems in NoC design: a holistic perspective}} , author={{ UOgras } and { JHu } and { RMarculescu }} , booktitle={{IEEE CODES+ISSS}} , year={2005} } @incollection{b33, , title={{Efficient Synthesis of Networks on Chip}} , author={{ APinto }} , booktitle={{ICCD}} , year={2003} } @incollection{b34, , title={{Approximation algorithms for degree-constrained minimum cost network design problems}} , author={{ RRavi }} , booktitle={{Algorithmica}} , year={2001} 31 } @incollection{b35, , title={{Autonet: a highspeed self-configuring local area network using point-to-point links}} , author={{ MDSchroeder }} , journal={{In Journal on Selected Areas in Communications}} 9 , year={1991} } @book{b36, , author={{ CSeiculescu } and { SMurali } and { LBenini } and { GDe Micheli }} , title={{SunFloor 3D: a tool for networks on chip topology synthesis for 3d systems on chip. In Proceedings DATE}} , year={2009} } @incollection{b37, , title={{Efficient adaptive routing in networks of workstations with irregular topology}} , author={{ FSilla }} , booktitle={{Proceedings of the Workshop on Communications and Architectural Support for Network-Based Parallel Computing}} the Workshop on Communications and Architectural Support for Network-Based Parallel Computing , year={1997} } @incollection{b38, , title={{High-performance routing in networks of workstations with irregular topology}} , author={{ FSilla } and { JDuato }} , booktitle={{IEEE Transactions on Parallel and Distributed Systems}} , year={2000} } @incollection{b39, , title={{Layout aware design of mesh based NoC architectures}} , author={{ KSrinivasan } and { KSChatha }} , booktitle={{Proceedings of 4th International Conference on Hardware Software Codesign and System Synthesis}} 4th International Conference on Hardware Software Codesign and System SynthesisSeoul, Korea , year={2006} } @incollection{b40, , title={{An automated technique for topology and route generation of application specific on-chip interconnection networks}} , author={{ KSrinivasan }} , booktitle={{Proceedings ICCAD}} ICCAD , year={2005} } @incollection{b41, , title={{ISIS: A genetic algorithm based technique for custom onchip interconnection network synthesis}} , author={{ KSrinivasan } and { KSChatha }} , booktitle={{Proceedings of 18th International Conference on VLSI Design}} 18th International Conference on VLSI DesignKolkata, India , year={2005} } @incollection{b42, , title={{An efficient deadlock-free tree-based routing algorithm for irregular wormhole-routed networks based on turn model}} , author={{ YMSun } and { CHYang } and { YCChung } and { TYHang }} , booktitle={{Proceeding of International Conference on Parallel Processing}} eeding of International Conference on Parallel essing , year={2004} } @incollection{b43, , title={{Deadlock-free routing in irregular networks using prefix routing}} , author={{ JWu } and { LSheng }} , journal={{DIMACS (Tech. Rep.)}} , year={1999} }